moduleSHIF(DIN,CLK,RST,DOUT);inputCLK,DIN,RST;outputDOUT;reg[]SHFT;always@(posedgeCLKorposedgeRST)if(RST)SHFT<=’B;elsebeginSHFT>);SHFT[]<=DIN;endassignDOUT=SHFT[];endmodule该程序实现的功能是:

  尔雅 智慧树 mooc


+
账户
更新
搜索
帮助
主页